1
mirror of https://code.videolan.org/videolan/vlc synced 2024-09-04 09:11:33 +02:00
vlc/test/test.sh

50 lines
1.1 KiB
Bash
Raw Normal View History

2005-11-02 19:33:23 +01:00
#! /bin/sh
set -e
python setup.py build
2006-04-14 16:16:46 +02:00
2005-11-02 19:33:23 +01:00
cd ..
# TODO: FIXME !!
export PYTHONPATH=$PYTHONPATH:bindings/mediacontrol-python/build/lib.linux-i686-2.3:test/build/lib.linux-i686-2.3:test/build/lib.linux-x86_64-2.3:test/build/lib.linux-i686-2.4:test/build/lib.linux-x86_64-2.4
2005-11-02 19:33:23 +01:00
export LD_LIBRARY_PATH=src/.libs/
2006-09-18 16:16:14 +02:00
# Always dump core
ulimit -c unlimited
2006-10-19 23:00:14 +02:00
if [ "x$1" = "xdebug" ]
then
gdb python "test/test.sh"
else
python test/test.py -v 2>&1|perl -e \
'$bold = "\033[1m";
$grey = "\033[37m";
$green = "\033[32m";
$blue = "\033[34m";
$red = "\033[31m";
$reset = "\033[0m";
# Combinations
$info = $reset;
$ok = $green;
$err = $red.$bold;
while(<STDIN>)
{
$line = $_;
chomp $line;
if( $line =~ s/^(\[[A-z0-9]*\]\s.*)\.\.\.\sok$/$info$1\.\.\.$ok ok/g ||
$line =~ s/^(\[[A-z0-9]*\]\s.*)\.\.\.\sFAIL$/$info$1\.\.\.$err FAIL/g||
$line =~ s/^(\[[A-z0-9]*\]\s.*)\.\.\.(.)*$/$info$1\.\.\.$2/g ||
$line =~ s/^(ok)$/$ok$1/ig || $line =~ s/^FAIL$/$err FAIL/g ||
$line =~ s/(Ran\s.*)/$info$1/g )
{
print $line.$reset."\n";
}
else
{
print $grey.$line."\n";
}
}'
2006-10-19 23:00:14 +02:00
fi